一个倒计时软件,相对比较精确

易语言 2020-08-25 17:26:16

一个倒计时软件,相对比较精确

理论上不管多长时间的倒计时误差都很低,理论上机器配置越高误差越低。

.判断循环首 (1 = 1)
L_差值 = 取整 ((取启动时间 () - L_启动时间) ÷ 1000)
.如果真 (L_差值 ≠ L_上次差值)
L_上次差值 = L_差值
L_倒计时 = L_需计时的时间 - L_上次差值
编辑框_日志.加入文本 (时间_格式化 (时间_格林威治转北京 (到长整数 (时间_取现行时间戳 ())), “yyyy/MM/dd ”, “hh:mm:ss ”, 真) + 取文本右边 (到文本 (时间_取现行时间戳 ()), 3) + “ 倒计时:” + 到文本 (L_倒计时) + #换行符)
.如果真 (L_倒计时 ≤ 0)
跳出循环 ()
.如果真结束

.如果真结束

.判断循环尾 ()